CAFE

수출입관련

[스크랩] SWIFT 신용장 MT 700 해설

작성자앤드류김|작성시간12.04.15|조회수1,230 목록 댓글 0

안녕하세요?

 

주) 은행에서 근무하시는 분들은 SWIFT Standards 를 볼 수 있으므로 SWIFT Message에서 궁금한 항목이 있으면 찾아 보실 수 있지만, 기업에 계신 분들은 암호같은 수자가 무엇을 뜻하는 지 몰라 애로를 겪고 있습니다. 이에 "신용장과 코레스"라는 책자에 실린 내용을 요약하여 드리니 실무에 참고하시기 바랍니다.

 

Q 1. 신용장을 개설하는 방법에는 어떤 것이 있는가?

 

A.1. 개설은행은 수익자가 소재하고 있는 지역의 통지은행을 선정하고 어떠한 통신수단으로 개설할 것인지를 결정한다. 과거에는 우편으로 개설되는 신용장이 많았지만 통신수단이 극도로 발달된 요즈음에는 대부분의 신용장이 전신으로 개설되고 있다. UCP 500에서는 신용장을 개설하는 전신을 "Teletransmission"이라고 표현하고 있는데, 여기에는 Cable, Telex, Fax, SWIFT 및 각 은행이 자신들의 본지점 간에 전용으로 사용하고 있는 각종 전자식 통신장치를 망라하는 의미이다. 그중에서도 가장 많이 사용되는 방식이 SWIFT이다.

 

Q.2. SWIFT 란 무엇인가?

 

Q.2. "Society for Worldwide Interbank Financial Telecommunications"의 약어로서 벨기에 Brussel에 본부를 두고있는 은행간 전자통신문을 관할하는 기구이다. 현재 전세계 대부분의 유수한 은행들은 모두 SWIFT Network에 가입하여 이를 통하여 통신문을 상호 편리하게 교환하고 있다.

 

Q.3. SWIFT로 송수신 되는 신용장 관련 주요 통신문에는 어떠한 표준형식들이 있는가?

 

MT 700 (화환신용장 개설) 개설된 화환신용장의 조건들을 표시한 형식이다.

MT 701 (화환신용장 개설) MT 700에 연속되는 신용장 내용의 2쪽이다.

MT 705 (화환신용장 개설의 사전통지문) 완전한 신용장을 보내기 이전에 사전 정보사항으로 보내는 신용장의 사전통지문 형식이다.

MT 707 (화환신용장 조건변경의 통지문) 화환신용장의 조건변경사항을 통지하는 형식이다.

MT 710 신용장을 다른 은행으로 통지하는 형식이다.

MT 720 신용장의 양도형식이다.

MT 730 신용장의 수신확인형식이다.

MT 732 하자있는 서류를 접수한 은행의 하자인수 통보형식이다.

MT 734 하자있는 서류를 접수한 은행의 인수거절 통보형식이다.

MT 700 SWIFT 통신문

===================

이것은 화환신용장의 개설에 사용되는 전신문인데 발신인은 개설은행이며 수신인은 통지은행이다. MT 700 형식으로 사용된 전문은 다음과 같은 전제를 적용한다.


(1) 달리 언급하지 않는 한, 그 신용장은 개설시점에 유효한 국제상업회의소의 신용장통일규칙을 적용하여 개설된 것이다. 따라서 이 전문의 수신인인 통지은행은 "This Credit is issued subject to the Uniform Customs and Practice for Documentary Credits, 1994 Revision, ICC Publication No. 500" 이라는 문언으로 수익자 또는 다른 통지은행에게 그 사실을 알려야 한다.

 

(2) eUCP를 적용하는 신용장인 경우 eUCP의 Version을 명시하여야 한다. 명시가 없으면 현행 Version (2005년 8월 현재 Version 1.0) 을 적용한다.

 

(3) Third party reimbursement 방식을 허용하는 경우 현행 URR (2005년 8월 현재 URR 525) 을 적용한다.


(4) 그 신용장의 내용이 최대 허용치의 분량을 초과하는 경우 추가 사항은 MT 701 형식을 사용하여 발신하여야 한다. MT 701 은 최대 3 쪽까지 사용이 가능하다.


(5) 달리 언급하지 않는 한, MT 700 SWIFT 전문을 사용하여 수익자 또는 다른 통지은행에 통지된 신용장은 유효한 신용증서 즉, 원본의 효력을 갖는다.


(6) 자유매입신용장(Freely negotiable Credit)인 경우, 개설은행은 "The advice to the Beneficiary must be presented at each negotiation. The Negotiating Bank must note each negotiation on that advice."의 문언을 추가하여야 한다.


(7) 오해를 방지하기 위하여, 은행들은 가급적이면 "ourselves", "yourselves", "us", "you" 등과 같이 표현하지 말고 미리 정해진 은행식별 부호를 사용하여야 한다.


(8) 통지은행은 모든 명세를 포함하고 있는 신용장을 분명하고 애매하지 않은 방법으로 수익자에게 통지하여야 한다.

 

- 다음으로 계속 -

다음검색
현재 게시글 추가 기능 열기
  • 북마크
  • 공유하기
  • 신고하기

댓글

댓글 리스트
맨위로

카페 검색

카페 검색어 입력폼